From: Jan "Yenya" Kasprzak Date: Sat, 23 May 2015 12:50:42 +0000 (+0200) Subject: Timeout adjustments X-Git-Url: https://www.fi.muni.cz/~kas/git//home/kas/public_html/git/?p=openparking.git;a=commitdiff_plain;h=c02f469380b07804175d639ab8beb80ca37acc13 Timeout adjustments --- diff --git a/firmware/firmware.c b/firmware/firmware.c index c9c4317..fb095f5 100644 --- a/firmware/firmware.c +++ b/firmware/firmware.c @@ -5,8 +5,8 @@ #include "clock.h" #include "modbus.h" -#define ECHO_TIMEOUT (CLOCK_HZ/20) // 50 ms -#define MEASUREMENT_WAIT (4*ECHO_TIMEOUT) +#define ECHO_TIMEOUT (CLOCK_HZ/10) // 100 ms +#define MEASUREMENT_WAIT (2*ECHO_TIMEOUT) #define MEASUREMENT_SHIFT 2 // running avg (1 << M_SHIFT) #define N_TRIGGERS 3